Welcome![Sign In][Sign Up]
Location:
Search - vhdl ad

Search list

[Windows Developise6.3ad0809_test

Description: 本程序为VHDL语言编写的ADC0809的采样程序 并用DA0800恢复-procedures for the preparation of the VHDL ADC0809 the sampling procedures used to restore DA0800
Platform: | Size: 4096 | Author: tmx | Hits:

[VHDL-FPGA-Verilogvhdl程序例子

Description: vhdl程序源代码,包括Combinational Logic Counters Shift Registers Memory State Machines Registers Systems ADC and DAC Arithmetic等-VHDL source code, including Combinational Logic Counters Shift Registers State Machines Registers Memory Systems ADC and DAC Arithmetic etc.
Platform: | Size: 168960 | Author: 王力 | Hits:

[Embeded-SCM Develop1549

Description: ad采集程序,已经经过仿真验证,能够直接应用-ad collection procedure has been verified through simulation, to the direct application
Platform: | Size: 1024 | Author: xuying | Hits:

[VHDL-FPGA-Verilogvhdl_ad0809_arm

Description: 本程序是用VHDL语言写的,包括AD0809,双口RAM等程序。已经调试过-this program is written in VHDL, including the AD0809, dual-port RAM, and other procedures. Debugging has been too
Platform: | Size: 4096 | Author: lm | Hits:

[VHDL-FPGA-VerilogADC0809VHDL

Description: VHDL语言编写的程序,实现控制ADC0809的工作 -VHDL prepared by the procedures, the control Connection between ADC 0809
Platform: | Size: 4096 | Author: wang | Hits:

[SCMAD0809

Description: 由VHDL 语言实现的AD0809用的是KEIL环境已经得到验证-By the VHDL language used in the AD0809 is KEIL environment has been tested
Platform: | Size: 4096 | Author: df | Hits:

[VHDL-FPGA-Verilogadc0809

Description: VHDL编写的ADC0809 控制器,经过验证没有错误-VHDL prepared ADC0809 controller, no errors verified
Platform: | Size: 1024 | Author: 王攀 | Hits:

[OtherAD7862

Description: 这个程序是用VHDL语言编写的对AD7862在数据采集中进行设计,并进行波形仿真,是对芯片的进一步了解!!希望对相关硬件的朋友有用!! -This procedure is used for VHDL languages in the AD7862 data acquisition in the design and simulation waveform is a better understanding of chips! ! I hope the relevant hardware useful to Friend! !
Platform: | Size: 1024 | Author: 张晓 | Hits:

[VHDL-FPGA-Verilogadc

Description: Analog-to-Digital Converter,VHDL code-Analog-to-Digital Converter, VHDL code
Platform: | Size: 14336 | Author: leigh lee | Hits:

[VHDL-FPGA-Verilogads7844

Description: 本源码介绍了ADS7844 AD转换芯片的VHDL控制器。-The source of the introduction ADS7844 AD conversion of the VHDL controller chip.
Platform: | Size: 1386496 | Author: 周生 | Hits:

[VHDL-FPGA-VerilogAD9826.vhd

Description: 驱动AD9826的VHDL程序,经测试可以成功驱动-AD9826 driver of VHDL procedures, have been tested successfully drive
Platform: | Size: 1024 | Author: wuchao | Hits:

[File Formatram_da

Description: 将AD转换得到的八位数据存入RAM,存1000个点,然后通过串行DA读出,DA芯片为TLV5638,AD芯片为tlc0820ac,RAM为FM25L16-AD conversion will be the eight data into RAM, keep 1000 points, and then read out through the DA serial, DA chips for the TLV5638, AD chips for tlc0820ac, RAM for FM25L16
Platform: | Size: 650240 | Author: 王力 | Hits:

[VHDL-FPGA-VerilogADC1

Description: 用FPGA实现的ADC采样器,用VHDL编写,8个模拟信号通道地址,8位数据输出-Using FPGA to achieve the ADC sampler, using VHDL prepared 8-channel analog signal address, 8-bit data output
Platform: | Size: 124928 | Author: 叶开 | Hits:

[VHDL-FPGA-VerilogADC0809_VHDL_ctrl

Description: VHDL控制ADC0809芯片,实现AD转化及采集后数据的读写。-VHDL control ADC0809 chip realize after AD conversion and acquisition of reading and writing data.
Platform: | Size: 4096 | Author: zhou129 | Hits:

[AlgorithmAD

Description: 用于AD转换的 ,is very good for you -For AD conversion, is very good for you
Platform: | Size: 493568 | Author: fei | Hits:

[VHDL-FPGA-VerilogVHDLprogram

Description: 含有各类寄存器,AD和DA转换器,各种算法,有限状态机,还些许组合逻辑电路设计代码-Containing various types of registers, AD and DA converters, a variety of algorithms, finite state machine, but also some combinational logic circuit design code
Platform: | Size: 1808384 | Author: zhouwenbin | Hits:

[VHDL-FPGA-VerilogAD-Based_on_FPGA

Description: 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用-VHDL language used A/D conversion process can be used in the FPGA platform
Platform: | Size: 58368 | Author: 东风 | Hits:

[VHDL-FPGA-VerilogAD

Description: 用硬件语言VHDL编写AD采集系统,经过仿真结果正确-Hardware language VHDL with the preparation of AD acquisition system, after a simulation result is correct
Platform: | Size: 159744 | Author: 王岩嵩 | Hits:

[assembly languagead

Description: 用VHDL实现AD转换,并有详细注释。外加仿真波形-AD Converter with VHDL implementation, and detailed notes. Plus simulation waveform
Platform: | Size: 41984 | Author: lin | Hits:

[VHDL-FPGA-Verilogad

Description: 这是用vhdl语言实现ad转换的源码,用quartus实现。(ad transform using vhdl)
Platform: | Size: 673792 | Author: JJJJJJJJJ | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net